Number of the records: 1  

Jazyk Verilog a jeho užití při modelování a syntéze číslicových systémů

  1. View book information on page www.obalkyknih.cz

    book


     Kolouch, Jaromír, 1945- - Author
    1. vyd. - Brno : VUTIUM, 2012 - 162 s. : il. (některé barev.) ; 24 cm
    ISBN 978-80-214-4516-1
     Verilog (programovací jazyk)  popisné jazyky hardwaru  programovatelné logické obvody  FPGA obvody
     příručky
    Call numberC 382.414
    Umístění 004.4/.5 - Software
    Jazyk Verilog a jeho užití při modelování a syntéze číslicových systémů
    BranchPlaceInfoSignature
    Lidická ( volný výběr ) k vypůjčeníC 382.414   

    Title statementJazyk Verilog a jeho užití při modelování a syntéze číslicových systémů : příručka / Jaromír Kolouch
    Main entry-name Kolouch, Jaromír, 1945- (Author)
    Edition statement1. vyd.
    Issue dataBrno : VUTIUM, 2012
    Phys.des.162 s. : il. (některé barev.) ; 24 cm
    ISBN978-80-214-4516-1
    National bibl. num.cnb002449293
    Internal Bibliographies/Indexes NoteObsahuje bibliografii a rejstřík
    Language noteAnglické resumé
    Another responsib. Vysoké učení technické v Brně
    Subj. Headings Verilog (programovací jazyk) * popisné jazyky hardwaru * programovatelné logické obvody * FPGA obvody
    Form, Genre příručky
    Conspect004.4/.6 - Programování. Software
    UDC 004.438Verilog , 004.436.2 , 004.312 , (035)
    CountryČesko
    Languagečeština
    Ve volném výběru004.4/.5 - Software
    Document kindBOOKS
    Jazyk Verilog a jeho užití při modelování a syntéze číslicových systémů
    Kniha je určena pro čtenáře, který se chce seznámit s jazykem Verilog a použít ho pro návrh programovatelných logických obvodu. U čtenáře se předpokládá znalost číslicové elektroniky v rozsahu odpovídajícím úrovni bakalářského studia elektrotechnických vysokých škol. Autor postupně seznamuje čtenáře se syntaxí jazyka Verilog a dále s prostředky jazyka Verilog pro verifikaci číslicových systému. Nejrozsáhlejší (čtvrtá) kapitola je věnována velkému množstvím příkladu konstrukcí v jazyce Verilog. Na příkladech jsou čtenáři předkládány modely nejpoužívanějších číslicových bloku od jednodušších (kombinační obvody, klopné obvody atd.) až po složitější (konečné stavové automaty, různé typy pamětí). Poslední kapitola knihy je pak věnována jazyku SystemVerilog, který z jazyka Verilog vychází a velmi významně ho rozšiřuje. Zmíněny jsou na příkladech konstrukce v jazyce SystemVerilog-2005 i jeho rozšíření SystemVerilog-2009. Velmi vítám, že autor tuto kapitolu do knihy zařadil, protože SystemVerilog je velmi perspektivní jazyk. Podrobný popis syntaxe jazyka Verilog, jako je v předložené knize, dosud nevyšel v češtině ani slovenštině. V současné době se jazyk Verilog a SystemVerilog využívá pro popis číslicových systému ve velké míře. Je tedy velmi žádoucí, aby se studenti elektrotechnických fakult s tímto jazykem seznámili již v průběhu studia a přípravy na jejich budoucí praxi. Zdroj anotace: Web obalkyknih.cz
    Loading…

Number of the records: 1  

  This site uses cookies to make them easier to browse. Learn more about how we use cookies.