Počet záznamů: 1  

Jazyk Verilog a jeho užití při modelování a syntéze číslicových systémů

  1. Zobrazit informace o knize na stránce www.obalkyknih.cz

    kniha


     Kolouch, Jaromír, 1945- - Autor
    1. vyd. - Brno : VUTIUM, 2012 - 162 s. : il. (některé barev.) ; 24 cm
    ISBN 978-80-214-4516-1
     Verilog (programovací jazyk)  popisné jazyky hardwaru  programovatelné logické obvody  FPGA obvody
     příručky
    SignaturaC 382.414
    Umístění 004.4/.5 - Software
    Jazyk Verilog a jeho užití při modelování a syntéze číslicových systémů
    PobočkaKde najdu?InfoSignatura
    Lidická ( volný výběr ) k vypůjčeníC 382.414   

    Údaje o názvuJazyk Verilog a jeho užití při modelování a syntéze číslicových systémů : příručka / Jaromír Kolouch
    Záhlaví-jméno Kolouch, Jaromír, 1945- (Autor)
    Údaje o vydání1. vyd.
    Vyd.údajeBrno : VUTIUM, 2012
    Fyz.popis162 s. : il. (některé barev.) ; 24 cm
    ISBN978-80-214-4516-1
    Číslo nár. bibl.cnb002449293
    Poznámky o skryté bibliografii a rejstřícíchObsahuje bibliografii a rejstřík
    Jazyková pozn.Anglické resumé
    Dal.odpovědnost Vysoké učení technické v Brně
    Předmět.hesla Verilog (programovací jazyk) * popisné jazyky hardwaru * programovatelné logické obvody * FPGA obvody
    Forma, žánr příručky
    Konspekt004.4/.6 - Programování. Software
    MDT 004.438Verilog , 004.436.2 , 004.312 , (035)
    Země vyd.Česko
    Jazyk dok.čeština
    Ve volném výběru004.4/.5 - Software
    Druh dok.KNIHY
    Jazyk Verilog a jeho užití při modelování a syntéze číslicových systémů
    Kniha je určena pro čtenáře, který se chce seznámit s jazykem Verilog a použít ho pro návrh programovatelných logických obvodu. U čtenáře se předpokládá znalost číslicové elektroniky v rozsahu odpovídajícím úrovni bakalářského studia elektrotechnických vysokých škol. Autor postupně seznamuje čtenáře se syntaxí jazyka Verilog a dále s prostředky jazyka Verilog pro verifikaci číslicových systému. Nejrozsáhlejší (čtvrtá) kapitola je věnována velkému množstvím příkladu konstrukcí v jazyce Verilog. Na příkladech jsou čtenáři předkládány modely nejpoužívanějších číslicových bloku od jednodušších (kombinační obvody, klopné obvody atd.) až po složitější (konečné stavové automaty, různé typy pamětí). Poslední kapitola knihy je pak věnována jazyku SystemVerilog, který z jazyka Verilog vychází a velmi významně ho rozšiřuje. Zmíněny jsou na příkladech konstrukce v jazyce SystemVerilog-2005 i jeho rozšíření SystemVerilog-2009. Velmi vítám, že autor tuto kapitolu do knihy zařadil, protože SystemVerilog je velmi perspektivní jazyk. Podrobný popis syntaxe jazyka Verilog, jako je v předložené knize, dosud nevyšel v češtině ani slovenštině. V současné době se jazyk Verilog a SystemVerilog využívá pro popis číslicových systému ve velké míře. Je tedy velmi žádoucí, aby se studenti elektrotechnických fakult s tímto jazykem seznámili již v průběhu studia a přípravy na jejich budoucí praxi. Zdroj anotace: Web obalkyknih.cz
    Načítání…

Počet záznamů: 1  

  Tyto stránky využívají soubory cookies, které usnadňují jejich prohlížení. Další informace o tom jak používáme cookies.